分类目录归档:验证相关

sv使用default clocking指定全局默认时钟

systemverilog使用default clocking,可以指定全局默认时钟,方便assertion使用[……]

Read more

继续阅读

发表在 验证相关 | 标签为 | 留下评论

UVM中如何让一个sequence发送两个包给两个sequencer

uvm中,如何让一个sequence发送两个包给两个sequencer的实现方法[……]

Read more

继续阅读

发表在 验证相关 | 标签为 | 留下评论

UVM使用双顶层的用法

uvm使用实现双顶层。使用双顶层,可以将多个uvm环境集成到一起。[……]

Read more

继续阅读

发表在 验证相关 | 留下评论

uvm中直接操作RTL信号

uvm中,通过调用提供的DPI函数,对RTL中的信号值,进行操作。[……]

Read more

继续阅读

发表在 验证相关 | 标签为 , | 一条评论

uvm中run_test

uvm中,run_test的分析[……]

Read more

继续阅读

发表在 验证相关 | 标签为 | 留下评论

uvm中获取cmdlind内容

uvm中,关于cmdline参数的读取实现。[……]

Read more

继续阅读

发表在 验证相关 | 标签为 , | 留下评论

modelsim建立UVM环境

modelsim中建立UVM环境[……]

Read more

继续阅读

发表在 IC, 验证相关 | 标签为 , | 留下评论