cadence indago征程(四)仿真加速indago database

indago工具很强大,对于debug环境,非常好用。但是因为仿真过程中,会产生indago database,而database,会记录仿真的所有状态,因此必然就会造成仿真速度慢。如果环境非常复杂,那么仿真速度会奇慢无比。

因此,就需要一些手段,来限制indago database的生成,不能记录仿真所有的状态,而是记录关键的状态,以提高仿真速度。

indago database的产生,是依赖于仿真执行的sim.tcl文件中的ida_probe命令,来指定的,因此就需要在这个命令上,做些文章。

一、去掉-log选项

-log选项,会将仿真打印的log,和代码以及仿真状态进行关联。这个feature,在熟悉环境的时候,其实是不需要的,因为我们知道,打印的log,是在什么地方打印的。因此可以考虑去掉。以提高仿真速度。

二、去掉-wave

我们一般使用verdi工具,查看波形,因此将-wave选项去掉,database中不产生波形。

三、-ignore_sv_instances="dut顶层的层次"

RTL的波形,可以在verdi工具中查看,因此也不需要在indago database中,记录rtl的状态,直接将rtl从顶层到底层,都给ignore掉,均不记录。

四、-ignore_sv_files="files"

对于一些不关心的文件,通过-ingore_sv_files选项,将这些文件,也ignore掉,不记录。

五、-uvm –uvm_reg

如果不调试底层的UVM代码,那么去掉-uvm选项。如果环境中,没有register model,去掉-uvm_reg选项。

六、总结

因为indago工具,仿真过程会产生database,降低了仿真速度。为了不让仿真速度太慢,需要我们自己控制,产生database的记录条件。

此条目发表在IC分类目录,贴了, 标签。将固定链接加入收藏夹。

发表评论

电子邮件地址不会被公开。