月归档:2020年06月

sv使用default clocking指定全局默认时钟

systemverilog使用default clocking,可以指定全局默认时钟,方便assertion使用[……]

Read more

继续阅读

发表在 验证相关 | 标签为 | 留下评论